在 VHDL 中下降到与到 [英] downto vs. to in VHDL

查看:44
本文介绍了在 VHDL 中下降到与到的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我不确定我是否理解 vhdl 中downto"与to"之间的区别.

I'm not sure I understand the difference between 'downto' vs. 'to' in vhdl.

我看了一些网上的解释,但我还是不明白.谁能帮我安排一下?

I've seen some online explanations, but I still don't think I understand. Can anyone lay it out for me?

推荐答案

一上一下:

-- gives 0, 1, 2, 3:
for i in 0 to 3 loop

-- gives 3, 2, 1, 0:
for i in 3 downto 0 loop

这篇关于在 VHDL 中下降到与到的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆