错误 (10482):VHDL 错误:对象“select_vector"已使用但未声明 [英] Error (10482): VHDL error: object "select_vector" is used but not declared

查看:158
本文介绍了错误 (10482):VHDL 错误:对象“select_vector"已使用但未声明的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我不知道为什么会出现此错误(错误 (10482):SWSelectorVHDL.vhd(11) 处的 VHDL 错误:使用了对象select_vector"但未声明).请指出我需要改变什么..这是我的代码

I dont know why I am getting this error (Error (10482): VHDL error at SWSelectorVHDL.vhd(11): object "select_vector" is used but not declared). Please point out what do I need to change.. Here is my code

library ieee;
use ieee.std_logic_1164.all;
entity SWSelectorVHDL is
generic(
NUM : std_logic_vector(2 downto 0) := "000" 
);  
port(       
selector    : in    select_vector(7 downto 0); 
rq      : in    std_logic_vector(7 downto 0); 
own     : out   std_logic_vector(7 downto 0)
);
end entity SWSelectorVHDL;
architecture RTL of SWSelectorVHDL is
begin
gen_req : for i in 0 to 7 generate
requests(i) <= rq(i) when (selector(i) = NUM) else '0';
end generate;
end;

推荐答案

  • 我认为select_vector"不是输入端口选择器"的有效类型.我想应该是std_logic_vector(7 downto 0)"
  • 或者,如果select_vector"是有效类型,那么您需要包含声明了select_vector"类型的包.
  • 这篇关于错误 (10482):VHDL 错误:对象“select_vector"已使用但未声明的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆