在MSYS建设提振,找不到mingw.jam [英] Building boost under msys, can't find mingw.jam

查看:320
本文介绍了在MSYS建设提振,找不到mingw.jam的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我需要建立推动使用正则表达式库。我能够创造的bjam使用bootstrap.sh像这样:

I need to build boost to use the regex library. I was able to creat bjam using bootstrap.sh like so:

./bootstrap.sh --with-toolset=mingw 

请注意 - 如果我离开了--with工具集= MinGW的参数编译失败 - 引导找不到wait.h,resource.h中,ar.h.随着MinGW的工具的说法,是的bjam能够编译。然后我跑的bjam并获得:

Note - if I leave out the --with-toolset=mingw argument compilation fails - bootstrap can't find wait.h, resource.h, ar.h. With the mingw toolset argument, bjam is able to compile. Then I run bjam and get:


./bjam.exe
mingw.jam: No such file or directory
e:/libraries/boost_1_45_0/tools/build/v2/build\toolset.jam:38: in toolset.using
rule mingw.init unknown in module toolset.
e:/libraries/boost_1_45_0/tools/build/v2/build\project.jam:881: in using
project-config.jam:12: in modules.load
e:/libraries/boost_1_45_0/tools/build/v2\build-system.jam:257: in load-config
e:/libraries/boost_1_45_0/tools/build/v2\build-system.jam:423: in load-configuration-files
e:/libraries/boost_1_45_0/tools/build/v2\build-system.jam:554: in load
e:\libraries\boost_1_45_0\tools\build\v2/kernel\modules.jam:283: in import
e:\libraries\boost_1_45_0\tools\build\v2\kernel\bootstrap.jam:142: in boost-build
e:\libraries\boost_1_45_0\boost-build.jam:17: in module scope

我试过的几个参数变化和得到同样的错误:

I tried several variations of parameters and get the same error:


./bjam.exe --build-dir=e:/libraries/boost_1_45_0/ --toolset=mingw
./bjam.exe --build-dir=e:/libraries/boost_1_45_0/ --toolset=gcc

不知道怎么弄的bjam建设。有什么建议?

Not sure how to get bjam to build. Any suggestions?

推荐答案

一旦你建立的bjam与 ./ bootstrap.sh --with工具集=的MinGW

Once you have built bjam with ./bootstrap.sh --with-toolset=mingw

编辑文件项目config.jam中和海湾合作委员会

Edit the file project-config.jam and replace 'mingw' by 'gcc'

然后启动bjam.exe,它应该工作

Then launch bjam.exe and it should work

这篇关于在MSYS建设提振,找不到mingw.jam的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆