从PC和Back读取图像到FPGA [英] Reading an image to FPGA from PC and Back

查看:201
本文介绍了从PC和Back读取图像到FPGA的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我需要从PC到FPGA工具包(ALTERA DE2-70)读取一个小图像(tif格式)进行处理,然后将其写回PC。我不知道如何在Verilog中做到这一点?

I need to read a small image (tif format) from PC to FPGA kit (ALTERA DE2-70) for processing, then write it back to PC. I have no idea how to do it in Verilog?

可以在C中完成吗?如果是这样,我怎样才能将我的C / HDL代码组合在一起工作?

Can it be done in C? if so, how can I combine my C/HDL code to work together?

谢谢!

推荐答案

几个坐骑前我遇到了同样的问题,但我想发送和接收实时图像。那时我正在研究和最好的(快速芯片)解决方案,我找到的是opalkelly的XEM6001。 http://www.opalkelly.com/products/xem6001/
指定控制器(赛普拉斯cy68013a)处理USB和PC之间36 MBytes /秒的所有通信。
和API(应用程序编程接口),包括C,C ++,C#,Ruby,Python和Java。价格200美元。 --- odsa ---

a few mounts ago i were having the same problem, but i wanted to send and receive a real time image. back then i was researching and the best (fast an chip) solution that i find was the XEM6001 of opalkelly. http://www.opalkelly.com/products/xem6001/ specify controller (Cypress cy68013a) that handle all the communication on a 36 MBytes/second between the PC and FPGA in USB. and API (Application Programmer's Interface) in C, C++, C#, Ruby, Python, and Java. the price 200$. ---odsa---

这篇关于从PC和Back读取图像到FPGA的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆