不能将float_pkg包含到项目中 [英] can't include float_pkg into project

查看:190
本文介绍了不能将float_pkg包含到项目中的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我已经安装了Altera Quartus II 7.2(SP 2),我无法包含float_pkg软件包。我已经做了一些研究,似乎各种版本的VHDL语言有不同的供应商,但是,似乎没有一个适合我的项目。我已经尝试了以下内容:

  library ieee_proposed; 
使用ieee_proposed.float_pkg.all;

  library ieee; 
使用ieee.float_pkg.all;

(我也发现了一些第三个库,但我忘记了它是什么,它不问题,因为它与这两个相同)

编译时,我得到的错误:设计库ieee_proposed不包含主要单位float_pkg。

有人可以澄清什么是正确的方式来使用浮动,在哪里可以找到这些库,以及如何显式包含它们?

解决方案我不相信Quartus 7.2支持float_pkg。实际上,从13.0开始,我相信对float_pkg的支持还没有被添加。你现在唯一的选择可能是下载一个向后兼容的软件包的近似版本并自己编译。


I have Altera Quartus II 7.2 (SP 2) installed and I'm unable to include the float_pkg package. I've done some research and it seems that there are various vendors for various versions of the VHDL language, however, none seem to fit in my project. I've tried the following:

library ieee_proposed;
use ieee_proposed.float_pkg.all;

and

library ieee;
use ieee.float_pkg.all;

(I've also found some third library, but I forgot what it was, it doesn't matter since it worked out the same as those two)

When compiling, I get the error: design library "ieee_proposed" does not contain primary unit "float_pkg".

Can someone clarify what is the proper way to use floats, where to find these libraries and how to explicitly include them?

解决方案

I don't believe Quartus 7.2 supports float_pkg. In fact, as of 13.0, I believe support for float_pkg still hasn't been added yet. Your only option right now may be to download a backwards-compatible approximated version of the package and compile that in yourself.

这篇关于不能将float_pkg包含到项目中的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆