使用Altera Quartus II将* .vhdl编译到库中 [英] Compiling *.vhdl into a library, using Altera Quartus II

查看:375
本文介绍了使用Altera Quartus II将* .vhdl编译到库中的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我正在尝试使用浮点和定点包作为VHDL中的滤波器设计的一部分。我正在使用Altera Quartus II作为开发环境。我从网站下载了文件包: http://www.vhdl.org/fphdl/ ,现在可在 http://web.archive.org /web/20160305202256/http://www.vhdl.org/fphdl/



在他们的用户指南中,提到了下面的内容:

$应将b
$ b

 'fixed_float_types_c.vhdl','fixed_pkg_c.vhdl'和'float_pkg_c.vhdl'
编译成名为ieee_proposed 。

然而,我并不完全知道如何将所提及的* .vhdl文件编译成库Altera Quartus II工具。



任何提示将不胜感激。

解决方案

使用Altera Quartus II GUI,您可以通过名为Files的
Project Navigator选项卡将'fixed_float_types_c.vhdl',
'fixed_pkg_c.vhdl'和'float_pkg_c.vhdl' 。见下图。





添加文件时,您可以在属性下指定目标库。
见下图。



添加行:



  set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed 
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment - 然而,你可能想要确定是否编译成ieee_proposed,ifee_proposed,b




$ b 库是必需的,否则你可以用其他文件将它们编译到工作库中。


I am trying to use 'Floating point and Fixed point package' as a part of my filter design in VHDL. I am using Altera Quartus II as the development environment. I downloaded the file package from the website: http://www.vhdl.org/fphdl/, now available at http://web.archive.org/web/20160305202256/http://www.vhdl.org/fphdl/

In their user guide, below is mentioned:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".

However, I do not exactly know how I can compile the mentioned *.vhdl files into a library using Altera Quartus II tool.

Any tip would be appreciated.

解决方案

Using Altera Quartus II GUI you can add the 'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl' files to the project through the "Project Navigator" tab called "Files". See figure below.

When you add the files you can specify the target library under "Properties". See figure below.

You can also modify the Quartus II settings (".qsf") file for the project, and add the lines:

set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed

However, you may want to determine if compiling into the "ieee_proposed" library is required, and otherwise you can just compile them into the "work" library with other files.

这篇关于使用Altera Quartus II将* .vhdl编译到库中的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆