如何在FPGA中生成伪随机数? [英] How to generate pseudo random number in FPGA?

查看:471
本文介绍了如何在FPGA中生成伪随机数?的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

如何在FPGA中生成伪随机数?

How to generate pseudo random number in FPGA?

推荐答案

已解决(我打算进行LFSR): 在Spartan-3E上生成随机数

This has been covered (I'd go for an LFSR): Random number generation on Spartan-3E

这篇关于如何在FPGA中生成伪随机数?的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆