对 SV 的 Modelsim 支持 [英] Modelsim support for SV

查看:57
本文介绍了对 SV 的 Modelsim 支持的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我目前使用的是modelsim SE 5.8e.它不支持 SystemVerilog.我需要使用 SystemVerilog 来设计和验证我的项目.知道哪个版本的 Modelsim 能很好地支持 sytemverilog 的设计和验证子集吗?之前用过VCS,想看看能不能用Modelsim代替VCS做仿真.

I'm currently using modelsim SE 5.8e. It doesn't support SystemVerilog. I need to use SystemVerilog for design and validation of my project. Any idea which version of Modelsim supports both design and validation subset of sytemverilog well? I used VCS before and trying to find it if I can use Modelsim instead of VCS for simulation.

提前致谢!

推荐答案

根据这个表ModelSim 支持 SystemVerilog 设计功能,但不支持验证功能.这意味着它可能不支持类、随机化或 SV 的覆盖特性.

According to this table, ModelSim supports SystemVerilog design features, but not verification features. This means that it probably does not support classes, randomization, or the coverage features of SV.

来自 Mentor Graphics 的最新模拟器平台品牌为 Questa.这实际上只是 Modelsim 的扩展.Questa 完全支持 SystemVerilog.如果您拥有(或可以获得)许可证,这就是您想要的.我的经验是 EDA 模拟器以分层方式获得许可,因此某些功能可能只有在您拥有特定许可后才能使用.

The latest simulator platform from Mentor Graphics is branded Questa. This is really just an extension to Modelsim. Questa has full support for SystemVerilog. This is what you want if you have (or can get) a license for it. My experience is that EDA simulators are licensed in a tiered manner, so some features may only be available if you have a certain license.

Questa 模拟器营销页面位于 http://www.mentor.com/products/fv/questa/

The Questa simulator marketing page is at http://www.mentor.com/products/fv/questa/

这篇关于对 SV 的 Modelsim 支持的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆