在 Mac OS X 10.6.8 上使用什么来编译和模拟 Verilog 程序? [英] What to use to compile and simulate Verilog programs on Mac OS X 10.6.8?

查看:38
本文介绍了在 Mac OS X 10.6.8 上使用什么来编译和模拟 Verilog 程序?的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

作为教学大纲的一部分,我需要模拟 Verilog 程序.但是,我所在的大学使用的是 Xilinx ISE,它不适用于 Mac.

I am required to simulate Verilog programs as part of my syllabus. But, my college uses Xilinx ISE, and it isn't available for Mac.

所以请帮助我使用最好的软件以及有关如何安装和使用它们的一些详细步骤.

So please help me out with the best software and also some detailed steps on how to install and use them.

推荐答案

你可以试试 Icarus Verilog,它是一个免费的 Verilog 模拟器.

You could try Icarus Verilog, which is a free Verilog simulator.

根据此处的说明,您可以在 Mac OS X 上安装 Icarus Verilog.

According to the instructions here you can install Icarus Verilog on Mac OS X.

这篇关于在 Mac OS X 10.6.8 上使用什么来编译和模拟 Verilog 程序?的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆