system-verilog-dpi相关内容

如何使用 DPI-C 嵌入 Systemverilog 解释器?

问题描述: 我在 SystemVerilog 中进行设计并使用相同的语言编写测试平台.我希望能够在模拟过程中编译我的设计并测试不同的功能,就像您使用带有 e 的解释器一样.理想情况下,当模拟器碰到某条线路时,我会在模拟时弹出一个终端. 潜在想法: 我查看了 DPI-C,似乎我必须“导出"项目中的所有任务才能从解释器中运行它们.但是,我不确定如何自动执行此操作或是否有更好的方法.此 ..
发布时间:2021-09-04 18:46:32 其他开发