VHDL 语法错误 [英] VHDL syntax error

查看:45
本文介绍了VHDL 语法错误的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

在我的代码中,我有以下几行:

In my code, I have the following lines:

architecture Behavioral of L6 is

begin
with SEL select 
    Z <= '1111110' when '0000',
          '00110000' when '0001',
           '1111011' when '1001';

有一个语法错误near '"(第一行带单引号),但这就是我使用的所有软件都会告诉我的.谁能给我更多帮助?

There's a syntax error "near ' " (the first line with a single quote), but that's all the software I'm using will tell me. Can anyone give me more help than that?

推荐答案

VHDL 对单个位文字使用单引号,例如 '1''Z'.

VHDL uses the single quote for single bit literals, like '1' and 'Z'.

对于位向量文字,使用双引号 "0000"

For bit vector literals, use double quotes "0000", etc.

这篇关于VHDL 语法错误的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆