shift-register相关内容

VHDL中移位寄存器的结构设计

用VHDL语言进行了移位寄存器的结构设计。当WriteShift为1时,我得到移位,当它为0时,移位寄存器加载价格。虽然当我在Testbench中将WritesShift设置为1时,LOAD工作得很好,但在模拟中我得到了00000。 我的代码如下: entity ShiftRegis is Port ( Din : in STD_LOGIC_VECTOR (4 downto ..
发布时间:2022-03-23 19:48:02 其他开发

VHDL 中 std_logic_vector 的移位寄存器

有人可以告诉我,如何制作 12 位 std_logic_vector 项目的移位寄存器? 解决方案 看看下面的例子.VECTOR_WIDTH 是每个 std_logic_vector 中的位数(在您的情况下为 12).FIFO_DEPTH 是您想要的移位寄存器中的向量数. library ieee;使用 ieee.std_logic_1164.all;实体vectors_fifo是通用的 ..
发布时间:2021-09-17 19:14:22 其他开发

了解使用LFSR实现CRC生成的两种不同方式

有两种通过线性反馈移位寄存器(LFSR)实现CRC生成的方法,如下图所示:。该图片中生成多项式的系数为100111,红色的“ +”圆圈为异或运算符。两者的初始化寄存器值为00000。 例如,如果输入数据位流是10010011,则A和B都将给出CRC校验和1010。不同之处是A以8个移位结束,而B以由于将5个零附加到输入数据中,因此8 + 5 = 13个移位。我很容易理解B,因为它非常类似于2 ..
发布时间:2020-10-11 02:50:25 其他开发