spartan相关内容

在没有串行通信设备的情况下通过com端口测试通信的串行监视方法

我有一个在ISE设计工具包上模拟和综合的Verilog代码.我有一个用于实现的FPGA spartan 6器件.但是设备存在问题(可能是电源问题),当我将其连接到PC时,这使得该设备无法在任何COM端口中使用.因此,我想检查我为通过设备进行串行通信而编写的Matlab代码是否可以完成所需的工作.因此,我需要一种方法来测试通过任何COM端口进行的串行通信,而无需将串行com设备连接到PC.有什么方法 ..
发布时间:2021-05-09 19:23:21 其他开发

通过以太网将UDP数据包发送到fpga spartan 3e

我想将UDP数据包发送到包括微火的fpga.但是我有一个关于IP地址的问题.我在哪里可以获得fpga的IP地址. 解决方案 如果您的主板不在任何网络上,则必须为mac地址分配一个静态IP,并在您的FPGA代码中使用该IP来过滤n等.对您的计算机说一对一的以太网,然后只需进行VHDL编码即可. ..
发布时间:2021-05-04 21:01:13 其他开发

使用VHDL和Xilinx的带按钮开关的计数器设计

我是VHDL和XILINX ISE的新手.我使用的Xilinx ISE版本是13.2. 我想用以下输入设计一个非常简单的计数器: 方向 算 计数输入将分配给一个按钮,并且我希望计数器在按下按钮时根据方向输入向上或向下计数.在此之前,我已经编写了一个示例VHDL.它有一个时钟输入,并且正在根据时钟输入进行计数.现在,我希望它在按下按钮时进行计数,而不是同步进行计数. 这是我 ..
发布时间:2020-11-10 19:36:06 其他开发

使用USB将输入数据提供给FPGA

我正在使用此开发板开发Xilinx Spartan 3E平台: http://www. xilinx.com/products/boards-kits/HW-SPAR3E-SK-US-G.htm 我的程序对某些数据进行操作,然后提供输出.我希望从外部传输输入信号.输入数据是8位信号流. 那么,如何通过USB将笔记本电脑的输入信号发送到FPGA? Xilinx是否支持此功能?是否有 ..
发布时间:2020-11-10 19:36:03 其他开发

如何计算FPGA斯巴达板上的按键数

我正在使用FPGA Spartan 2开发板,想计算从键盘上按下的键 这是我的VHDL代码: library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; ENTITY Keyboard ..
发布时间:2020-11-10 19:22:18 其他开发

FIFO实现-VHDL

在将fifo代码实例化到我的顶层模块时,我遇到了另一个难题.我想从我的串行端口(接收子系统)存储一些数据,说“欢迎来到FPGA的世界",然后我想取回它,例如当按下fpga板上的按钮或FIFO已满时.我写了我的fifo代码和串行通信代码.想法是从键盘发送的数据->接收子系统-> FIFO->传输子系统->超级终端.我目前使用的是8位宽度的fifo,说28位深度只是为了存储一些小数据.在这方面请帮我如 ..
发布时间:2020-11-05 18:39:47 其他开发

使用BUFG驱动时钟负载

我正在尝试处理输出到DVI芯片的像素数据.之所以使用各种时钟频率,是因为DVI芯片寄存器是使用I2C编程的(因此需要时钟 DVI芯片需要40 MHz的差分像素时钟,但是,DVI需要在每个周期两次显示半个字节,因此,每半个周期需要另外80 MHz的时钟将像素的每一半压入数据线,这些来自DCM. 这导致了各种各样的问题.我试图仅使用双像素时钟速率交换每个像素的一半,但是我得到了错误: T ..
发布时间:2020-07-15 02:18:50 其他开发