蒙哥马利乘法VHDL实现 [英] Montgomery multiplication VHDL Implementation

查看:303
本文介绍了蒙哥马利乘法VHDL实现的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我试图在这种情况下创建一个模运算操作:

I am trying to create a modular arithmetic operation in this case:

x*y mod n

至于我读的最快的方法是使用蒙哥马利乘法,但我不能理解

As far as I have read the fastest way to do it is using the Montgomery multiplication, but I cant understand how that is actually done in other to implement it in hardware using VHDL.

有人能够做到这一点,或者有任何图书馆可以使用它吗?

Has someone been able to do it or have any library that enables me to use it?

推荐答案

可以找到基本的移位和添加模乘法此处作为开放核心项目。

A basic shift and add modular multiplication can be found here as a part of this open cores project.

这里是另一个使用蒙哥马利乘法的项目。

Here's another project that is using the Montgomery multiplication.

这篇关于蒙哥马利乘法VHDL实现的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆