VHDL 错误时选择 [英] VHDL with select when error

查看:38
本文介绍了VHDL 错误时选择的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

VHDL 是我遇到过的最糟糕的设计语言和最糟糕的语法.为什么这个 with-select-when 代码给我一个错误?:

VHDL is the worst designed language with the worst syntax that I have ever encountered. Why does this with-select-when code give me an error?:

  library ieee;
use ieee.std_logic_1164.all;

entity mux48 is
port(
   mux48dv0:in std_logic_vector(7 downto 0);
   mux48dv1:in std_logic_vector(7 downto 0);
   mux48dv2:in std_logic_vector(7 downto 0);
   mux48dv3:in std_logic_vector(7 downto 0);
   mux48sv:in std_logic_vector(3 downto 0);
   mux48ov:out std_logic_vector(7 downto 0)
);
end mux48;

architectre mux48_df of mux48 is
begin
    with mux48sv select
    mux48ov <= mux48dv0 when "0000",
        <= mux48dv1 when "0001",
        <= mux48dv2 when "0010",
        <= mux48dv3 when "0011",
        <= mux48dv0 when "0100",
        <= mux48dv1 when "0101",
        <= mux48dv2 when "0110",
        <= mux48dv3 when "0111",
        <= mux48dv0 when "1000",
        <= mux48dv1 when "1001",
        <= mux48dv2 when "1010",
        <= mux48dv3 when "1011",
        <= mux48dv0 when "1100",
        <= mux48dv1 when "1101",
        <= mux48dv2 when "1110",
        <= mux48dv3 when "1111";
end mux48_df;

错误:

** Error: C:/Modeltech_pe_edu_10.3/Lab3/mux48.vhd(15): near "architectre": syntax error

推荐答案

你有几个语法错误:分配应该看起来像

You have several syntax errors: The assignment should look like

with mux48sv select
   mux48ov <= mux48dv0 when "0000",
              mux48dv1 when "0001",
              ...
              mux48dv3 when others;

这篇关于VHDL 错误时选择的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆