不能用VHDL2008Quartus Prime编译 [英] Can't compile with VHDL 2008 Quartus Prime

查看:15
本文介绍了不能用VHDL2008Quartus Prime编译的处理方法,对大家解决问题具有一定的参考价值,需要的朋友们下面随着小编来一起学习吧!

问题描述

我使用的是Quartus Prime Lite Edition,我想在STD_LOGIC_VECTOR上使用一元运算符NAND,如下所示

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity example1 is
    port( 
        BIN : in std_logic_vector (7 downto 0);
        result : out std_logic
    );
end;

architecture Beh of example1 is
begin
    
    result <= nand BIN;
        
end Beh;

我尝试按照this说明操作,在编译器设置中的VHDL输入下更改了VHDL版本。仍然没有效果,并且正在变得: 错误(10500):在lab2.vhd(16)文本附近出现vhdl语法错误;应为";(";,或标识符(";NAND&Quot;是保留关键字)或一元运算符

推荐答案

Quartus Prime Lite不支持VHDL2008。

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf

这篇关于不能用VHDL2008Quartus Prime编译的文章就介绍到这了,希望我们推荐的答案对大家有所帮助,也希望大家多多支持IT屋!

查看全文
登录 关闭
扫码关注1秒登录
发送“验证码”获取 | 15天全站免登陆